EDA多功能數(shù)字鐘的實現(xiàn)
- 文件介紹:
- 該文件為 doc 格式,下載需要 0 積分
- EDA多功能數(shù)字鐘的實現(xiàn) 題目:多功能數(shù)字鐘的設計
要求:
1.顯示格式為“小時-分鐘-秒鐘”;
2.具備整點報時功能,報時時間為整點前10s開始報時,喇叭開始發(fā)聲,直到整點時,在整點前5sLED開始閃爍,整點過后停止閃爍;
3.時間可調:調整時間按鍵S1、S2,S1調節(jié)小時,每按下一次增加一小時,S2調節(jié)分鐘,每按下一次增加一分鐘,另外S8作為系統(tǒng)時鐘復位按鍵,復位后全部顯示“00-00-00”。
4.完成數(shù)字鐘的VHDL程序調試,編譯通過;
5.用網(wǎng)絡表觀測器察看寄存器傳輸級電路結構并進行截圖;
6.進行時序仿真并進行截圖;
7.按照規(guī)定格式完成大作業(yè)的設計報告,格式符合模板要求
2.程序清單
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
Entity cxbsz is
port(Clk:in std_logic;
Rst:in std_logic;
S1,S2:in std_logic;
spk:out std_logic;
led:out std_logic_vector(3 downto 0);
Display:out std_logic_vector(6 downto 0);
SEG_SEL:buffer std_logic_vector(2 downto 0);
SEG_WEIXUAN:buffer std_logic_vector(5 downto 0));
end cxbsz;
architecture rtl of cxbsz is
signal Disp_Temp:integer range 0 to 15;
signal Disp_Decode:std_logic_vector(6 downto 0);
signal SEC1,SEC10:integer range 0 to 9;
signal MIN1,MIN10:integer range 0 to 9;
signal HOUR1,HOUR10:integer range 0 to 9;
signal Music_Count:std_logic_vector(2 downto 0); ...